Skip to content
  • Jens Korinth's avatar
    Squashed commit of the following: · dcc6c418
    Jens Korinth authored
    commit 802a3eea
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:58:22 2018 +0100
    
        CI: Reactivate everything, with new compose-features stage
    
    commit d0fb3e37
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:55:43 2018 +0100
    
        F'in yml
    
    commit 6c8e648d
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:53:22 2018 +0100
    
        YML debugging
    
    commit 155ed589
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:51:22 2018 +0100
    
        YML debugging
    
    commit 4a6408b6
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:49:36 2018 +0100
    
        YML debugging
    
    commit 1b09ffb8
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:47:22 2018 +0100
    
        Distinguish compose with and without features in jobs
    
    commit ec2af8ed
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:43:24 2018 +0100
    
        Reactivate compose jobs
    
    commit 09d289a8
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 12:41:17 2018 +0100
    
        Deactivate all jobs but compose without features
    
    commit 40c7cba6
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 11:34:15 2018 +0100
    
        Fix potential hanging LogTrackingFileWatcher in EvaluateIP
    
    commit f31d740c
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 11:19:20 2018 +0100
    
        Temporarily increase logging of file watcher (again)
    
    commit f12a9074
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 10:45:05 2018 +0100
    
        Fix truncated log output in verbose mode
    
        * when an activity exits quickly with an error, verbose mode would often
          omit the most important last few lines of the log
        * reason: flushing the data to disk takes longer than for the Tapasco
          threads to die, thus LogTrackingFileWatcher exits before lines appear
        * workaround: when both waitingFor and files are empty, MultiFileWatcher
          now waits one more iteration before exiting, which seems to suffice
    
    commit f9148c81
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 10:22:09 2018 +0100
    
        Squashed commit of the following:
    
        commit d3245516
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Thu Jan 25 10:19:19 2018 +0100
    
            Closes #149 - Zedboard Synthesis fails for 2017.3 and 2017.4
    
            * improved sys clock detection by checking available interfaces via
              get_board_part_interfaces, instead of trying sys_diff_clock first
            * also removed second warning when get_bd_pins returns nothing
            * removed old, unused platform code
    
        commit 9a0fb9b0
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Thu Jan 25 10:18:03 2018 +0100
    
            Use Arty-Z7-20 board file from Digilent for PyNQ
    
            * contains the manually set top.xdc directives
            * identical to Pynq, except for peripheral components
    
        commit f6a25afc
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Thu Jan 25 10:16:28 2018 +0100
    
            Update board definition for zedboard
    
            * Digilent has newer def of ZedBoard, using that automatically now
            * imported via MYVIVADO / XILINX_PATH env vars
    
        commit a29aa6cc
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Thu Jan 25 10:14:39 2018 +0100
    
            Fix minor bug in PS7 instantiation routine
    
        commit c782eadc
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Thu Jan 25 10:13:58 2018 +0100
    
            Remove 2016.4 specific code from design.master.tcl.template
    
    commit f873c47b
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 25 08:23:54 2018 +0100
    
        Closes #137 for HLS
    
    commit 88259aa0
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 17:01:44 2018 +0100
    
        Activate pipelines on branch gitlab-ci
    
    commit d09278de
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 16:58:38 2018 +0100
    
        Deactivate sbt-prepare on all except master and 20xx.x branches
    
    commit 9df2a6a6
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 16:54:40 2018 +0100
    
        Another fix regarding precision_counter
    
    commit 30f9ac5b
    Merge: c0ed8ed6 fc930ec8
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 16:53:33 2018 +0100
    
        Merge branch 'pe-local-memories' of git:tapasco/tapasco into gitlab-ci
    
    commit c0ed8ed6
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 16:52:05 2018 +0100
    
        Remove precision_counter from composition
    
        * caches are not always available, so precision_counter is not
          available, causing runs to fail
        * annoying, removed precision_counter for now
    
    commit 35b46901
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 15:56:50 2018 +0100
    
        Restrict CI pipelines to master and 20xx.x branches
    
    commit 0a0dc675
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 15:45:13 2018 +0100
    
        Activate verbose output in CI HLS jobs
    
    commit 240ad939
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 15:33:48 2018 +0100
    
        Test of generated yml
    
    commit 4f684b2a
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 15:33:32 2018 +0100
    
        Remove support for Vivado 2016.4
    
    commit be0a4b94
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 14:54:25 2018 +0100
    
        Pull tapasco-status 1.21
    
        * Chisel-generated Verilog is flattened into single module to avoid
          Verilog name conflicts
    
    commit d668d82b
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 14:52:30 2018 +0100
    
        Fix bug in address map construction
    
        * internal master-slave connections do not appear in get_address_map
        * so their segments were not mapped, resulting in errors, e.g., for DMA
        * fix: when address map does not contain interfaces, it will try to
          deduce range and offset from properties of the segment instead of
          failing
    
    commit 86b53707
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 24 13:45:58 2018 +0100
    
        Squashed commit of the following:
    
        commit 39e7a1cb
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Wed Jan 24 13:44:20 2018 +0100
    
            Bugfix in ZC706 fancontrol plugin
    
        commit 6a06399b
        Author: Lukas Sommer <lukas.sommer.mail@gmail.com>
        Date:   Wed Jan 24 12:39:49 2018 +0100
    
            Moved filter condition for active-high resets to correct command;
    
        commit cba13f81
        Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
        Date:   Wed Jan 24 11:28:40 2018 +0100
    
            Arch: Fix bug in PE reset connections
    
    commit c830c899
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Tue Jan 23 09:00:20 2018 +0100
    
        Improve locking behavior of MultiFileWatcher
    
    commit 58542825
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Tue Jan 23 08:22:55 2018 +0100
    
        Reactivate verbose mode in compose
    
    commit b97700d3
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Tue Jan 23 06:31:23 2018 +0100
    
        Remove --maxTasks from HLS and Import
    
    commit 25c503b6
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Tue Jan 23 06:25:15 2018 +0100
    
        Deactivate resource logging, increase tasks for HLS and import
    
    commit ac61a13d
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Tue Jan 23 06:19:29 2018 +0100
    
        Remove tapasco-status building from sbt-prepare job
    
    commit 4c6f2657
    Merge: 3691ea58 d1f36ab4
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Tue Jan 23 06:15:50 2018 +0100
    
        Merge branch 'pe-local-memories' of esagitlab:tapasco/tapasco into gitlab-ci
    
    commit 3691ea58
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Mon Jan 22 14:33:00 2018 +0100
    
        Change .gitlab-ci.yml to use new maxTasks param
    
    commit ea7c3934
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Mon Jan 22 14:30:06 2018 +0100
    
        Closes #147 - Implement maxTasks option
    
        * now supports --maxTasks command line / JSON option to limit the number
          of parallel tasks executed by TaPaSCo
    
    commit f8d090ae
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Sun Jan 21 15:32:51 2018 +0100
    
        Temporarily increase logging to debug OOM problems
    
    commit 3cb861b2
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Sun Jan 21 12:46:13 2018 +0100
    
        Limit threads to 1 to remove oom errors
    
    commit c02630a5
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 18:36:37 2018 +0100
    
        Adds artifact passing between hls and compose
    
    commit e2c63695
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 18:02:27 2018 +0100
    
        Changes for shared caches
    
    commit 82d85c69
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 17:11:36 2018 +0100
    
        Try to cache as much as possible
    
    commit 36be0ac0
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 17:04:08 2018 +0100
    
        Removes /cache
    
    commit 6a046f78
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 17:03:27 2018 +0100
    
        Adds cache_global
    
    commit fce5833e
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 17:00:42 2018 +0100
    
        Adds /opt/cad ls for debugging
    
    commit 0bb7f526
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 16:52:50 2018 +0100
    
        Adds cache test
    
    commit 5e410f65
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 16:24:17 2018 +0100
    
        Removes -v so tapasco finishes
    
    commit 532b1ba1
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 16:10:45 2018 +0100
    
        Reverts changes to default image
    
    commit 25d70ece
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 16:09:42 2018 +0100
    
        Replaces _JAVA_OPTIONS with SBT_OPTS
    
            - _JAVA_OPTIONS seems to annoy Vivado HLS and results in random
            crashes
    
    commit b1bbfe5f
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 14:48:33 2018 +0100
    
        Compile only one platform for hls
    
    commit 90adf995
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 14:27:31 2018 +0100
    
        He said I should add an s
    
    commit 16664088
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 14:26:19 2018 +0100
    
        Adds artifact for hls builds
    
    commit 53f0ae16
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 13:18:53 2018 +0100
    
        Mkaes hls verbose for debugging
    
    commit 2becdf3c
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 13:03:15 2018 +0100
    
        Removes space (and time)
    
    commit 3a444c26
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 12:03:51 2018 +0100
    
        Adds check for cache success
    
    commit cd75f301
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 12:01:16 2018 +0100
    
        Checks if vivado is included properly
    
    commit 425b8e98
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 11:48:36 2018 +0100
    
        Changes sbt-prepare cache policy to push
    
    commit c2f3b5ac
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 11:47:09 2018 +0100
    
        Replaces artifacts with cache
    
            - For now only sbt-prepare is shared for further jobs
            - There should be further sharing between Import and Compose
    
    commit b2b2d9b6
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Fri Jan 19 10:52:51 2018 +0100
    
        Revert "Fixes #145 - Building status core fails"
    
        This reverts commit 32047b00.
        The error this commit fixes was already fixed in a new Dockerfile
        version.
    
    commit 32047b00
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Fri Jan 19 10:40:58 2018 +0100
    
        Fixes #145 - Building status core fails
    
    commit 55718f4f
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 17:42:33 2018 +0100
    
        Removes import as dependency for compose
    
    commit 89b6f957
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 17:23:25 2018 +0100
    
        Reduces artifact size by compressing them
    
    commit 17a28552
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 16:06:44 2018 +0100
    
        Source Vivado
    
            For whatever reason .bashrc is not evaluated...
    
    commit 2cd05d74
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 15:49:46 2018 +0100
    
        Revert back to targetted artifacts because of size constraints
    
    commit fb4284aa
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 15:36:41 2018 +0100
    
        Get all untracked files for prepare stage
    
    commit 2f87a5f7
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 15:25:29 2018 +0100
    
        Bin is needed as artifact as well
    
    commit 29b4cd38
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 15:07:22 2018 +0100
    
        Limit artifacts to .ivy2 and .sbt
    
    commit 5524d39a
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 14:55:06 2018 +0100
    
        Adapts import-template to new format
    
    commit c53313a0
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Thu Jan 18 14:52:57 2018 +0100
    
        Used tapasco image and artifact sbt
    
    commit 7fd62db1
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 13:58:10 2018 +0100
    
        Implement import task as pipeline stage
    
    commit 6e9c33a9
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 13:51:34 2018 +0100
    
        Improve logging in Zynq platform Tcl
    
    commit e1758dbb
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 13:51:02 2018 +0100
    
        Improve logging in platform common Tcl
    
    commit 090f4481
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 13:50:32 2018 +0100
    
        Improve logging in tapasco::ip
    
    commit a6e9559d
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 13:49:58 2018 +0100
    
        Activate PE-local memory capability by default
    
    commit e3682565
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 13:49:40 2018 +0100
    
        Bugfix in Arch address map for unconnected masters
    
    commit b8b5dd0a
    Merge: dd6ac83b 8d677bb7
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 07:59:19 2018 +0100
    
        Pull tapasco-status
    
        Merge commit '8d677bb7' into gitlab-ci
    
    commit 8d677bb7
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Thu Jan 18 07:58:18 2018 +0100
    
        Squashed 'common/ip/tapasco_status/' changes from e209f949..3fd53e7
    
        3fd53e7 Pull chisel-axi
        41e37e7 Squashed 'axi/' changes from b8f4c554..01fad68
        88624e0 Pull chisel-packaging
        872f551 Squashed 'packaging/' changes from 134b2f62..c22243b
        f94b6de3 Remove caching of ivy repo from pipeline
        43c331dc Pull chisel-axiutils
        5937e2aa Implement cap0 bitfield
        26d61dd6 Bugfix in pipeline
        1030ffe5 Cache ivy2 repo in pipeline builds
        14876b2e Implement support for capability field in Status Core
        2a3e6856 Fix removed '<<=' sbt operator
        bccc8a73 Run sbt test in GitLab pipeline
        17e1a3a7 Fix bug concerning empty slots
        5a089419 Ignore compiled python scripts in .gitignore
        0f0a2d84 Update packaging to GitHub-version of Chisel3
        a162cfae Update miscutils to GitHub-version of Chisel3
        f0265156 Remove ununsed Scalactic dep
        d146b992 Rename RegisterFile saxi port to s_axi
    
        git-subtree-dir: common/ip/tapasco_status
        git-subtree-split: 3fd53e7038ab7e1ff485eee94c3516f72b9604ea
    
    commit dd6ac83b
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Wed Jan 17 21:31:34 2018 +0100
    
        Adds verbose flag for compose debugging
    
    commit d5e9bc89
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Wed Jan 17 21:06:26 2018 +0100
    
        Fixes job naming in yaml
    
    commit 9b9304ee
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Wed Jan 17 21:04:59 2018 +0100
    
        Use artifacts to avoid reevaluation
    
    commit fd6843ad
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Wed Jan 17 20:45:10 2018 +0100
    
        Removes verbose flag to avoid lock ups
    
    commit 23f78ad7
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Wed Jan 17 20:12:12 2018 +0100
    
        Fixes gitlab-ci with Centos7
    
    commit 4c73c789
    Author: Jaco Hofmann <hofmann@esa.tu-darmstadt.de>
    Date:   Wed Jan 17 19:03:03 2018 +0100
    
        Change image type to centos
    
    commit 0b823caa
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 17 18:22:30 2018 +0100
    
        Implement stages and HLS
    
    commit 8e810c8c
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 17 16:33:51 2018 +0100
    
        Fix
    
    commit e34de5b8
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 17 16:32:18 2018 +0100
    
        Fix
    
    commit 0dbd2a57
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 17 16:23:07 2018 +0100
    
        Fix
    
    commit 408774c9
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 17 16:19:22 2018 +0100
    
        Fix setup script
    
    commit 38820724
    Author: Jens Korinth <jk@esa.cs.tu-darmstadt.de>
    Date:   Wed Jan 17 16:16:08 2018 +0100
    
        Implement automated regression tests
    dcc6c418