Skip to content
GitLab
Menu
Projects
Groups
Snippets
Help
Help
Support
Community forum
Keyboard shortcuts
?
Submit feedback
Contribute to GitLab
Sign in
Toggle navigation
Menu
Open sidebar
tapasco
tapasco
Commits
d0aabfa4
Commit
d0aabfa4
authored
Jun 02, 2017
by
Jens Korinth
Browse files
Fix bugs in ZC706 plugins (arg passing)
parent
0763e216
Changes
3
Hide whitespace changes
Inline
Side-by-side
platform/zc706/plugins/apu_frequency.tcl
View file @
d0aabfa4
...
@@ -22,7 +22,7 @@
...
@@ -22,7 +22,7 @@
# @author J. Korinth, TU Darmstadt
(
jk@esa.cs.tu-darmstadt.de
)
# @author J. Korinth, TU Darmstadt
(
jk@esa.cs.tu-darmstadt.de
)
#
#
namespace eval apu_frequency
{
namespace eval apu_frequency
{
proc set_max_apu_frequency
{}
{
proc set_max_apu_frequency
{
{
args
{}}
}
{
puts
"Increasing ZC706 APU frequency to 800 MHz ..."
puts
"Increasing ZC706 APU frequency to 800 MHz ..."
set ps
[
get_bd_cell -hierarchical -filter
{
VLNV =~
"xilinx.com:ip:processing_system*"
}]
set ps
[
get_bd_cell -hierarchical -filter
{
VLNV =~
"xilinx.com:ip:processing_system*"
}]
set_property -dict
[
list CONFIG.PCW_APU_PERIPHERAL_FREQMHZ
{
800
}]
$ps
set_property -dict
[
list CONFIG.PCW_APU_PERIPHERAL_FREQMHZ
{
800
}]
$ps
...
...
platform/zc706/plugins/fancontrol-zc706.xdc
View file @
d0aabfa4
...
@@ -18,12 +18,3 @@
...
@@ -18,12 +18,3 @@
#
#
set_property PACKAGE_PIN AB19 [get_ports {pwm[0]}]
set_property PACKAGE_PIN AB19 [get_ports {pwm[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {pwm[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {pwm[0]}]
platform/zc706/plugins/fancontrol.tcl
View file @
d0aabfa4
...
@@ -22,7 +22,7 @@
...
@@ -22,7 +22,7 @@
# @author J. Korinth, TU Darmstadt
(
jk@esa.cs.tu-darmstadt.de
)
# @author J. Korinth, TU Darmstadt
(
jk@esa.cs.tu-darmstadt.de
)
#
#
namespace eval fancontrol
{
namespace eval fancontrol
{
proc fancontrol_feature
{}
{
proc fancontrol_feature
{
{
args
{}}
}
{
if
{
!
[
dict exists
[
tapasco::get_architecture_features
]
"FanControl"
]
||
[
tapasco::is_platform_feature_enabled
"FanControl"
]}
{
if
{
!
[
dict exists
[
tapasco::get_architecture_features
]
"FanControl"
]
||
[
tapasco::is_platform_feature_enabled
"FanControl"
]}
{
put
"Building primitive PWM module to subdue the noisy ZC706 fan ..."
put
"Building primitive PWM module to subdue the noisy ZC706 fan ..."
set ps
[
get_bd_cell -hierarchical -filter
{
VLNV =~
"xilinx.com:ip:processing_system*"
}]
set ps
[
get_bd_cell -hierarchical -filter
{
VLNV =~
"xilinx.com:ip:processing_system*"
}]
...
@@ -37,10 +37,11 @@ namespace eval fancontrol {
...
@@ -37,10 +37,11 @@ namespace eval fancontrol {
return
{}
return
{}
}
}
proc fancontrol_falsepath
{}
{
proc fancontrol_falsepath
{
{
args
{}}
}
{
set port
[
get_ports -filter
{
NAME =~ *pwm*
}]
set port
[
get_ports -filter
{
NAME =~ *pwm*
}]
puts
"Setting false path on
$port
, timing does not matter."
puts
"Setting false path on
$port
, timing does not matter."
set_false_path -to $port
set_false_path -to $port
return
{}
}
}
}
}
...
...
Jens Korinth
@jk
mentioned in commit
a831b501
·
Jul 14, 2017
mentioned in commit
a831b501
mentioned in commit a831b5015df6bd8f8d23ddd334a3b1159392de92
Toggle commit list
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
.
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment